基于FPGA的简易等精度频率计设计Verilog代码VIVADO仿真 您所在的位置:网站首页 fpga 频率计 基于FPGA的简易等精度频率计设计Verilog代码VIVADO仿真

基于FPGA的简易等精度频率计设计Verilog代码VIVADO仿真

2024-07-17 21:31| 来源: 网络整理| 查看: 265

名称:基于FPGA的简易等精度频率计设计Verilog代码VIVADO仿真(文末获取)

软件:VIVADO

语言:Verilog

代码功能:简易等精度频率计设计

1. 工程文件

2. 程序代码

3. 程序综合

4. RTL图

5. 测试代码

6. 仿真图

部分代码展示:

`timescale 1ns / 1ps // // Company:  // Engineer:  //  // Create Date: 2019/01/13 15:33:36 // Design Name:  // Module Name: Frequency_Calculator // Project Name:  // Target Devices:  // Tool Versions:  // Description:  //  // Dependencies:  //  // Revision: // Revision 0.01 - File Created // Additional Comments: //  // module Frequency_Calculator( input    reset_n, //系统复位信号 input    clk_200M,//系统时钟信号,这里采用200MHz      input    frequency_in,//待测信号输入                                                                                                                                         output   [59:0] Frequency_out//输出频率,单位Hz ); parameter  Gate_div_number=32'd400000;//闸门信号计数值,为便于仿真取400000(1ms),若取400000000则为1s reg clk_100M=0;             //已知频率的标准输入信号100M always@(posedge clk_200M)     if(reset_n==0)         clk_100M


【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有